<dfn id="is4kg"></dfn>
  • <ul id="is4kg"></ul>
  • <abbr id="is4kg"></abbr>
  • <ul id="is4kg"></ul>
    <bdo id="is4kg"></bdo>
    以文本方式查看主題

    -  曙海教育集團論壇  (http://www.hufushizhe.com/bbs/index.asp)
    --  FPGA初中級  (http://www.hufushizhe.com/bbs/list.asp?boardid=25)
    ----  FPGA 時鐘問題  (http://www.hufushizhe.com/bbs/dispbbs.asp?boardid=25&id=2775)

    --  作者:wangxinxin
    --  發布時間:2010-12-19 14:00:46
    --  FPGA 時鐘問題
    剛學不久~

    我要做24H製的時鐘~但我一直DEBUG~一直用不出來~

    Xilinx ISE 8.2i軟體~

    請會的人幫我看一下哪出錯了~謝



    library IEEE;

    use IEEE.STD_LOGIC_1164.ALL;

    use IEEE.STD_LOGIC_ARITH.ALL;

    use IEEE.STD_LOGIC_UNSIGNED.ALL;


    ---- Uncomment the following library declaration if instantiating

    ---- any Xilinx primitives in this code.

    --library UNISIM;

    --use UNISIM.VComponents.all;


    entity CLOCK_00_60 is

        Port ( CLK : in  STD_LOGIC;

               RESET : in  STD_LOGIC;

               ENABLE : out  STD_LOGIC_VECTOR (6 downto 0);

               SEGMENT : out  STD_LOGIC_VECTOR (6 downto 0));

    end CLOCK_00_60;


    architecture Behavioral of CLOCK_00_60 is

    signal SCAN_CLK :STD_LOGIC;

    signal COUNT_CLK :STD_LOGIC;

    signal DECODE_BCD :STD_LOGIC_VECTOR (3 downto 0);

    signal mineable :STD_LOGIC;

    signal hreable :STD_LOGIC;

    signal POSITION:STD_LOGIC_VECTOR (6 downto 0);

    signal DIVIDER:STD_LOGIC_VECTOR (29 downto 1);

    signal COUNT_BCD:STD_LOGIC_VECTOR (23 downto 0);


    begin

    -------------------------------------------------

    process (CLK,RESET)

    begin

    if RESET = \'0\' then

     DIVIDER <= ( others => \'0\');

    elsif CLK\' event and CLK = \'1\' then

     DIVIDER <= DIVIDER + 1 ;

    end if;

    end process;

    COUNT_CLK<=DIVIDER(24);

    SCAN_CLK<=DIVIDER(15);

    ------------------------------------------------秒

    process(RESET,SCAN_CLK)

    begin

    if RESET = \'0\' then

     COUNT_BCD <= ( others => \'0\');

    elsif SCAN_CLK\' event and SCAN_CLK = \'1\' then

     if   COUNT_BCD(3 downto 0)= x"9" then

        COUNT_BCD(3 downto 0)<= x"0";

        COUNT_BCD(7 downto 4)<= COUNT_BCD(7 downto 4)+1;

     else

       COUNT_BCD(3 downto 0)<= COUNT_BCD(3 downto 0)+1;

     end if;

    end if;

    end process;

    mineable <= \'1\' when COUNT_BCD(7 downto 0) = x"59" else \'0\';

    ----------------------------------------------------------分


    process(RESET,SCAN_CLK)

    begin

    if RESET = \'0\' then

     COUNT_BCD <= ( others => \'0\');

     if mineable = \'1\' then

    elsif SCAN_CLK\' event and SCAN_CLK = \'1\' then

     if   COUNT_BCD(11 downto 8)= x"9" then

        COUNT_BCD(11 downto 8)<= x"0";

        COUNT_BCD(15 downto 12)<= COUNT_BCD(15 downto 12)+1;

     else

       COUNT_BCD(11 downto 8)<= COUNT_BCD(11 downto 8)+1;

     end if;

    end if;

    end if;

    end process;

    hreable <= \'1\' when COUNT_BCD(15 downto 8) = x"59" else \'0\';

    -------------------------------------------------------------時

    process(RESET,SCAN_CLK)

    begin

    if RESET = \'0\' then

     COUNT_BCD <= ( others => \'0\');

      if mineable = \'1\' and hreable = \'1\' then

    elsif SCAN_CLK\' event and SCAN_CLK = \'1\' then

     if   COUNT_BCD(19 downto 16)= x"9" then

        COUNT_BCD(19 downto 16)<= x"0";

        COUNT_BCD(23 downto 20)<= COUNT_BCD(23 downto 20)+1;

      if   COUNT_BCD(19 downto 16)= x"2" then

       COUNT_BCD(23 downto 20)<= x"0";

     else

       COUNT_BCD(19 downto 16)<= COUNT_BCD(19 downto 16)+1;

      end if;

     end if;

      end if;

    end if;

    end process;



    process(RESET,SCAN_CLK)

    begin

    if RESET = \'0\' then

     POSITION <= "1111110";

    elsif SCAN_CLK\' event and SCAN_CLK = \'1\' then

     POSITION<="111111"&POSITION(0);

    end if;

    end process;

    ENABLE<=POSITION;

    -----------------------------------------------------------

    process(POSITION,SCAN_CLK)

    begin

    case POSITION is

     when "1111110" => DECODE_BCD <=COUNT_BCD(3 downto 0);    --秒

     when "1111101" => DECODE_BCD <=COUNT_BCD(7 downto 4);    --秒

     when "1111011" => DECODE_BCD <=COUNT_BCD(11 downto 8);   --分

     when "1110111" => DECODE_BCD <=COUNT_BCD(15 downto 12);   --分

       when "1101111" => DECODE_BCD <=COUNT_BCD(19 downto 16);   --時

       when "1011111" => DECODE_BCD <=COUNT_BCD(23 downto 20);   --時

     when others => null;

    end case;

    end process;

     

    with DECODE_BCD Select

    SEGMENT<= "1000000" when X"0",

      "1111001" when X"1",

      "0100100" when X"2",

      "0110000" when X"3",

      "0011001" when X"4",

      "0010010" when X"5",

      "0000010" when X"6",

      "1111000" when X"7",

      "0000000" when X"8",

      "0010000" when X"9",

      "1111111" when others;


    end Behavioral;

    主站蜘蛛池模板: 99久久国产综合精品五月天| 亚洲国产精品人久久电影 | 一个人看的www免费高清| 欧美日韩视频在线观看高清免费网站 | 亚洲欧美日韩国产vr在线观 | 久久久久久a亚洲欧洲AV| 机机对在一起30分钟软件下载 | 亚洲成a人片7777| 狼人大香伊蕉国产WWW亚洲| 国产区图片区小说区亚洲区| 97国产精品视频观看一| 夫妇当面交换中文字幕小说| 久久五月精品中文字幕| 日韩精品成人一区二区三区| 亚洲精品无码少妇30P| 皇上啊轻点灬大ji巴太粗太h| 国产卡1卡2卡三卡在线| 8050电影网午夜在线观看| 天堂8中文在线最新版在线| 久久6这里只有精品| 日本精品高清一区二区| 亚洲无吗在线视频| 波多野结衣办公室33分钟| 可以免费观看的毛片| 99在线精品视频| 婷婷六月综合网| 久久久久久青草大香综合精品| 晓雪老师下面好紧好湿| 亚洲第一综合色| 爱情岛亚洲论坛在线观看| 四虎影视永久免费视频观看| 草草影院ccyy国产日本欧美| 国产特级毛片aaaaaa高清| 99国产精品免费视频观看| 天天爽亚洲中文字幕| 丰满熟妇乱又伦| 日产精品久久久久久久| 亚洲av日韩综合一区久热| 欧美中文字幕在线播放| 亚洲综合网美国十次| 爽好大快深点一视频|